banner
Heim / Blog / Eine neuartige Hartmaske
Blog

Eine neuartige Hartmaske

Oct 01, 2023Oct 01, 2023

Wissenschaftliche Berichte Band 12, Artikelnummer: 12180 (2022) Diesen Artikel zitieren

2655 Zugriffe

3 Zitate

1 Altmetrisch

Details zu den Metriken

Dieser Brief überwindet eine große Hürde, die die fotolithografiebasierte Herstellung mikromesoskaliger Strukturen in Silizium behindert. Herkömmliche Fotolithographie wird normalerweise auf glatten, flachen Waferoberflächen durchgeführt, um ein 2D-Design zu erstellen und es anschließend zu ätzen, um einstufige Strukturen zu erzeugen. Es ist jedoch nicht möglich, nicht ebene Oberflächen oder bereits geätzte Wafer zu bearbeiten und mehr als eine Ebene in der Struktur zu erzeugen. In dieser Studie haben wir einen neuartigen reinraumbasierten Prozessablauf beschrieben, der die einfache Erstellung solcher mehrstufigen, hierarchischen 3D-Strukturen in einem Substrat ermöglicht. Dies wird durch das Einbringen einer ultradünnen Siliziumdioxid-Hartmasken-Opferschicht auf dem Substrat erreicht, die zunächst über mehrere Lithographierunden dreidimensional strukturiert wird. Dieses 3D-Muster wird dann vertikal um den Faktor 200–300 skaliert und in einem einzigen Tiefenätzschritt auf das darunter liegende Substrat übertragen. Die vorgeschlagene Methode lässt sich auch leicht charakterisieren: Anhand von Merkmalen unterschiedlicher Topographie und Dimensionen wurden die Ätzraten und Selektivitäten quantifiziert; Diese Charakterisierungsinformationen wurden später bei der Herstellung spezifischer Zielstrukturen verwendet. Darüber hinaus vergleicht diese Studie die neuartige Musterübertragungstechnik umfassend mit bereits bestehenden Methoden zur Erstellung mehrstufiger Strukturen, wie Graustufenlithographie und Chip-Stacking. Der vorgeschlagene Prozess erwies sich im Vergleich zu anderen Methoden als kostengünstiger, schneller und einfacher zu standardisieren – dadurch wurde der Gesamtprozess zuverlässiger und wiederholbarer. Wir hoffen, dass es mehr Forschung zu Hybridstrukturen anregen wird, die den Schlüssel zu dramatischen Leistungsverbesserungen in mehreren mikromesoskaligen Geräten darstellen.

Fortschritte bei lithographiebasierten Mikro-Nano-Verarbeitungstechniken haben die Technologie auf der ganzen Welt revolutioniert, da sie in der Lage ist, Strukturen im Längenmaßstab von unter 10 nm bis hin zum Millimetermaßstab kostengünstig in Massenproduktion herzustellen. Einige dieser Strukturen umfassen elektronische Komponenten im Nanometerbereich wie FETs, IGBTs1, Submikron-Strukturen wie optische Wellenleiter2, Fresnel-Linsen3, photonische Geräte4 und mikro-nanofluidische Geräte5. Etwas größere Strukturen im Mikro- (1–100 μm) und Meso-Maßstab (0,1–1 mm) sind in der modernen Technologie sogar noch nützlicher und haben unzählige Anwendungen in der Mikrofluidik6, Kühltechnologien7,8, Batterieforschung9, Sorption-Desorption10, Entsalzung11 und Katalyse12 erfahren . Obwohl die herkömmliche Reinraum-Lithographie als Mikro-Nano-Herstellungstechnik allgegenwärtig, vielseitig und unverzichtbar ist, weist sie eine große Einschränkung auf. Diese Art der Verarbeitung kann effizient nur 2,5D- oder einstufige Strukturen erstellen (Abb. 1a,b), ist jedoch nicht in der Lage, zuverlässig mehrstufige, hybride, hierarchische 3D-Strukturen zu erstellen (Strukturen mit mehr als einer Höhen- oder Tiefenebene, wie gezeigt). in Abb. 1c–e) mit Tiefen von mehr als 1–5 μm. Auf dem herkömmlichen LELE-Weg (Litho-Etch Litho-Etch) wird ein 2D-Design/Muster (volle Kontrolle über das Feature-Design in 2D verfügbar) zunächst lithographisch auf eine Opfermaskenschicht [normalerweise ein lichtempfindliches Polymer namens Photoresist (PR)] gelegt. auf der Waffel. Diese Maske dient nun als Schutz, um den freigelegten Teil des Designs auf den Wafer zu ätzen. Durch eine Runde „Lithographie + Ätzen“ kann das gesamte Design nur bis zu einer bestimmten Tiefe geätzt werden, wodurch eine einstufige Struktur entsteht. Ein herkömmlicher LELE-Reinraumprozess würde normalerweise mehrere Runden aufeinanderfolgender „Lithographie + Ätzung“ erfordern, um die gewünschten mehrstufigen Strukturen zu erzielen (Abb. 1f–i). Der Engpass entsteht durch eine unbefriedigende zweite Lithographie-Runde (Abb. 1i) auf Wafern, die bereits eine „Lithographie + Ätzung“-Runde durchlaufen haben und daher geätzte Merkmale (Höhe ≥ 5 μm) aufweisen. In einer Zeit, in der Hybridstrukturen der Schlüssel zu dramatischen Leistungsverbesserungen bestehender Geräte sind, stellt dies eine große Hürde bei der Herstellung dar. (Weitere Einzelheiten zur Nützlichkeit von Hybridstrukturen finden Sie im Abschnitt „Auswirkungen“.)

Zwei Arten von Strukturen, 2,5D und 3D. (a,b) Zeigt einstufige Strukturen, bei denen alle Features die gleiche Tiefe/Höhe haben. Dies sind auch die Strukturen, die wir im weiteren Verlauf dieses Briefes als 2,5D-Strukturen bezeichnen werden. (c–e) Im Gegensatz zu 2,5D-Strukturen zeigen wir zwei verschiedene vollständig 3D-Strukturen, die mehrstufig sind; Verschiedene Teile des Wafers haben unterschiedliche Ätztiefen/-höhen. (d) Ist eine fabrizierte Version von (c). (1) Herkömmliches LELE (f) Rotationsbeschichtungs-PR, die Gleichmäßigkeit der PR-Schicht ist entscheidend für den Erfolg der nachgelagerten Prozesse. (g) Vollständige Belichtung und Entwicklung, um ein 2D-Muster auf dem PR zu erstellen (h) Tiefenätzung von Si zunächst eine einstufige Struktur. (i) Die zweite Runde der PR-Schleuderbeschichtung schlägt fehl, wenn die Höhe der geätzten Struktur größer ist als die Dicke der PR-Schicht (4–10 μm). (2) Chip-Stacking (j) Angestrebte 3-Ebenen-Struktur. (k,l) Mehrstufige Struktur, die zunächst in mehrere einstufige Strukturen zerlegt wird, die auf separaten Wafern hergestellt und dann miteinander verbunden werden müssen. (m) Einschränkungen beim Chipstapeln. (3) Graustufenlithographie (n,o) Nach der PR-Beschichtung werden Kombinationen aus Volldosis- (Energie) und Teildosis-Lithographie durchgeführt, um eine 3D-Struktur im PR zu erzeugen. (p) Durch Ätzen wird das 3D-Muster vom PR auf das Si darunter übertragen. (q) Einschränkungen der Graustufenlithographie, die hauptsächlich mit Teilgraudosen verbunden sind.

Mehrstufige 3D-Strukturen können problemlos aus weichen Materialien wie PDMS (Polydimethylsiloxan) und Thermoplasten mithilfe von Verformungstechniken (zweistufige Weichlithographie13, sequentielle thermische14 und UV15 Nano Imprint Lithographie (NIL), Kapillarkraftlithographie16, Nanotransferdruck ( NTP)17), aber zuverlässige Methoden zur Herstellung von 3D-Mehrebenenstrukturen in starren Materialien wie Silizium fehlen noch18. Kürzlich hat die Zwei-Photonen-Lithographie die Herstellung komplizierter vollständig 3D-Muster in Photopolymeren ermöglicht19,20, aber diese Systeme haben ein extrem kleines Druckvolumen (Nanoscribe GT, ein hochmodernes Multiphotonensystem, das in Wissenschaft und Industrie eingesetzt wird). kann ein maximales Volumen von 300 × 300 × 300 μm3) bei ebenso langen Schreibzeiten von mehr als 12 h pro Struktur drucken. Aus diesem Grund ist die Zwei-Photonen-Lithographie unerschwinglich teuer und lässt sich nur schwer in kommerzielle Massenfertigungsszenarien integrieren20,21,22. Eine andere Technik namens Graustufenlithographie23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44 hat einige Fortschritte gemacht Traktion in den letzten Jahren, obwohl diese Methode oft teuer, langwierig und schwer zu charakterisieren ist22,45,46,47,48. Bei diesem Ansatz werden mehrere Graudosen verwendet, deren Energie unter der vollen Dosis-zu-Klar-Energie liegt, um den Fotolack (PR) zu beleuchten. Das PR in den belichteten Zonen dieser Graudosis unterliegt einer teilweisen photochemischen Reaktion, und bei der Entwicklung wird nur ein Teil des Resists weggewaschen – die präzise Steuerung der Energie und der Brennebene des Belichtungslichts führt zu einer 3D-Struktur mit mehreren Höhen im Resist und anschließend auf das darunter liegende Substrat übertragen (Abb. 1n–q). Es stellte sich jedoch schnell heraus, dass die Graubelastungsdosen mit mehreren unkontrollierbaren Problemen verbunden waren22,45,46,47,48,49. Morgan et al. bestätigte diese Schwierigkeit, indem er die mangelnde Standardisierung der Prozessschritte der Graustufenlithographie anführte. Ihrer Ansicht nach ist dies auf die stark eingeschränkte Kontrolle mehrerer Parameter zurückzuführen, die naturgemäß mit der Graudosis-Exposition verbunden sind47. Einige dieser Herausforderungen bei der Graustufenlithographie sind: komplizierte und teure Maskenmodellierung22,48, dosisabhängige Dimensionsverzerrung, die sich bei Strukturgrößen unter 10 μm verschlimmert49, strukturgrößenabhängige Verschiebung der charakteristischen Reaktionskurve von Fotolacken49, Profilwellung und Seitenwandverjüngung bei graue Dosen, unordentliche PR-Oberfläche nach der Entwicklung. All diese Probleme machen es äußerst schwierig, das angestrebte Resistprofil zu erreichen22. Diese Probleme müssen durch umfassende experimentelle Charakterisierung und langwierige numerische modellbasierte Fehlerkorrektur perfekt angegangen werden, damit der Prozess insgesamt erfolgreich ist22. Morgan et al. führt weiter aus, dass die Herstellung genau kontrollierter Graumerkmale so stark von den Prozessbedingungen abhängt und innerhalb so enger Prozesstoleranzen erfolgt, dass die Übertragung von Rezept- oder Prozesswissen von einem Labor auf ein anderes nahezu unmöglich ist. Kleine Änderungen an der Prozessausrüstung und der Umgebung führen zu drastischen Veränderungen im Graustufenprozess47.

Kürzlich haben mehrere Forscher eine ausgeklügelte doppelseitige Verarbeitungstechnik entwickelt50,51,52,53 und mit dieser Technik konnten sie zweistufige 3D-Verteilerstrukturen für Hochleistungselektronik-Hotspots (25–100 mm2 Grundfläche) erstellen. Kühlung. Später haben Hazra et al. hat erfolgreich die Skalierbarkeit dieses Prozessablaufs demonstriert, um 3D-Mikrokühler mit Verteilern und extrem großer Fläche (≥ 500 mm2) und hohem Wärmefluss zu schaffen54. Diese Methode eignet sich jedoch nur für die Erstellung sehr spezifischer 2-Ebenen-Strukturen, die durch die Kreuzung zweier von beiden Seiten des Wafers geätzter Designs hergestellt werden können. Darüber hinaus sinkt die Ausbeute an 3D-Strukturen, die mit herkömmlichen oder doppelseitigen Mikrolithographietechniken auf starren Si-Wafern hergestellt werden, aufgrund der manuellen Handhabung zerbrechlicher Wafer, die bereits eine Runde tiefer Si-Ätzung durchlaufen haben, drastisch auf etwa 50 %.54,55 Daher wurde die Erzeugung höherer (≥ 10 μm) mehrstufiger Strukturen kommerziell traditionell über Chip-Stacking-Methoden durchgeführt50,56. Bei diesem Ansatz wird ein vollständig 3D-Entwurf in mehrere verschiedene 2,5D-Strukturen aufgeteilt; Diese 2,5D-Strukturen werden mithilfe herkömmlicher „Lithographie + Ätzen“ in separaten Wafern hergestellt, die dann mithilfe von Lot-Die-Attach- oder dünnen eutektischen Bonding-Technologien zusammengeschichtet werden (Abb. 1j–m). Die Waferdicke, die für jede dieser separaten Schichten verwendet wird, bestimmt die mit diesem Verfahren erreichbaren Stufenhöhen. Um kleine Stufenhöhen zu erreichen, müssen die Wafer häufig mit einem Hinterschleifwerkzeug dünner gemacht werden. Eine Waferverdünnung ist unter 30–50 μm nicht möglich, was die minimale Schritthöhe oder vertikale Auflösung dieses Prozesses erheblich einschränkt. Darüber hinaus neigen extrem dünne Wafer zu Verformungen, Absplitterungen und Brüchen. Die endgültigen gebondeten Chip-Stack-Konfigurationen sind kurzlebig und unzuverlässig, da die Bondstellen die Hauptursache für Fehler darstellen. Diese Probleme treten häufiger bei Geräten auf, die massiven zyklischen thermischen oder mechanischen Belastungen ausgesetzt sind23,24, insbesondere bei mikrofluidischen Kühlgeräten mit hohem Wärmefluss. Chip-Stacking-Techniken haben außerdem ihre Grenzen hinsichtlich der Gerätekonfigurationen, die sie herstellen können, da die mittleren Waferschichten des Stapels keine freistehenden Strukturen aufweisen können (z. B. können mit dieser Technik keine mehrstufigen Pin-Fin-Array-Strukturen hergestellt werden). Daher benötigt die aktuelle Mikrofabrikationsgemeinschaft dringend einen standardisierten, leicht charakterisierten Prozess zur Herstellung von mikromesoskaligen Mehrebenenstrukturen mit hohem Aspektverhältnis (≥ 100 μm), der einfach und kostengünstig ist und innerhalb angemessener Prozesstoleranzen betrieben werden kann. und somit letztendlich leicht von einem Labor in ein anderes übertragbar.

In diesem Artikel haben wir einen neuartigen Prozess zur Musterübertragung von Siliziumdioxid auf Silizium beschrieben, mit dem mithilfe von Fotolithographietechniken zuverlässig mehrstufige Strukturen erzeugt und gleichzeitig mehrere der praktischen Herausforderungen gelöst werden können, die sich beim Einsatz vorhandener hochmoderner Methoden wie Chips ergeben Stapelung und Graustufenlithographie. Der Musterübertragungsprozess wird durch einen einzelnen Schritt des tiefen Siliziumätzens erreicht, was zu einer Verbesserung der Fertigungsausbeute um mehr als 40 % führt. Darüber hinaus ist die Ätzselektivität von Si:SiO2 um mehr als eine Größenordnung höher als die Ätzselektivität von Si:PR25,26,27,28,29,30,49, sodass wir wirklich große (bis zu 500 μm) hohe Ätzeigenschaften erzielen können Seitenverhältnis (~ 10–15) Struktur, die in Anwendungen, die auf mesoskaligen Merkmalen basieren, äußerst nützlich sein wird. Der beschriebene Prozess nutzt eine Volldosisbelichtung und umgeht somit alle Herausforderungen und Schwierigkeiten, die mit einer Teildosis-Graubelichtung verbunden sind. Durch das Entfernen des Graubelichtungsschritts werden gleichzeitig die „schwer zu kontrollierenden“ Parameter eliminiert, die inhärent mit Teilbelichtungsschritten in der Graulithographie verbunden sind47. Die einzigen zu charakterisierenden Parameter beziehen sich auf das Ätzen von Siliziumdioxid und Silizium, sodass dieser neuartige Prozess leicht zu verallgemeinern ist und keine extrem engen Prozesstoleranzen erfordert. In diesem Schreiben wird eine einfache Charakterisierungsmethode erwähnt und detaillierte Daten zu SiO2 und Si-Ätzung speziell für die verwendeten Werkzeuge und Schrittbedingungen aufgeführt. In Verbindung mit der einfachen Charakterisierung und Standardisierbarkeit nutzt der Prozess auch sehr häufig verwendete reinraumbasierte Werkzeuge und Prozesse zur Erstellung mehrstufiger Mikrostrukturen – dies erleichtert den Wissenstransfer von einem Labor zum anderen erheblich. Schließlich zeigt dieser Brief den Machbarkeitsnachweis dieser Methode durch die Durchführung von zwei Durchgängen „Lithographie + Ätzen“ und zeigt REM-Bilder mehrerer erstellter 2-Ebenen- und 3-Ebenen-Mikrostrukturen. Die Möglichkeiten in Bezug auf Strukturtypen, Topologien, Konfigurationen und Längenskalen sind jedoch endlos. Abschließend endet dieser Brief mit der Auflistung einiger spannender Anwendungen dieser neuartigen Hybridstrukturen, deren Herstellung nun möglich ist und die den Weg für die nächsten Generationen leistungsstarker Mikrofluidik- und Kühltechnologien ebnen könnten.

Der in diesem Artikel beschriebene neuartige Prozess ist von Multilithographie-LELE-Techniken und Graustufenlithographie inspiriert. Es kombiniert geschickt beides für die zuverlässige Herstellung mehrstufiger 3D-Strukturen und löst gleichzeitig mehrere praktische Herausforderungen, die mit der PR-basierten Graustufen-Lithographietechnik verbunden sind. In der konventionellen Lithographie (Abb. 1f–h) folgt die Erstellung geätzter Merkmale normalerweise diesen Schritten: Beschichten des Wafers mit Fotolack (PR) (Abb. 1f); Belichten des 2D-Designs auf dem PR mit Licht geeigneter Wellenlänge und Energie, das eine photochemische Reaktion im PR auslöst und es in einem speziellen Lösungsmittel namens Entwickler auflösbar macht (Entwickler sind normalerweise stark verdünnte Lösungen extrem ätzender Basen wie TMAH, Tetramethylammoniumhydroxid). Nach der Belichtung wird der Entwickler verwendet, um die Teile des PR, die im vorherigen Schritt belichtet wurden, abzuwaschen, wodurch ein 2D-Muster aus PR auf der Waferoberfläche zurückbleibt (Abb. 1g). Anschließend wird eine tiefreaktive ionenbasierte Bosch-Siliziumätzung durchgeführt, um die freiliegenden Teile des Wafers bis zur gewünschten Tiefe wegzuätzen. Zurück bleibt eine einstufige Struktur mit allen Merkmalen mit der gleichen Tiefe im Siliziumwafer (Abb. 1h). ). Um mit dieser herkömmlichen Technik eine Struktur mit mehreren Tiefen zu erreichen, wird ein Prozessablauf namens LELE (Litho-Etch-Litho-Etch) verwendet. Bei diesem Prozess muss die Schrittfolge „Lithographie + Ätzen“ mehrmals mit einem anderen Belichtungsdesign und unterschiedlichen Ätzzeiten in jedem Schritt wiederholt werden. Die größte Herausforderung entsteht im zweiten Lithographieschritt, bei dem versucht wird, PR auf den Wafer zu schleudern, auf dem bereits Merkmale eingeätzt sind. Beim Spin-Coating-Verfahren wird PR in der Mitte eines Siliziumwafers verteilt, der sich mit hoher Drehzahl dreht, wodurch es sich radial nach außen ausbreitet, um eine dünne, gleichmäßige und konforme Beschichtung auf dem Wafer zu erzeugen. Der Rotationsprozess auf einem bereits geätzten Wafer ist zufriedenstellend (dünn und gleichmäßig), wenn die PR-Dicke (4–10 μm) im Vergleich zur Ätzhöhe der Merkmale viel größer ist. Daher funktioniert der LELE-Prozess in einigen Fällen der IC-Herstellung, in denen die bereits geätzte Strukturhöhe ≤ 1–4 μm beträgt, perfekt. In mehreren nützlichen Anwendungen der Mikrofluidik, Flüssigkeitskühlung, Optik und Halbleiterfertigung liegen diese Ätztiefen jedoch im Mikro-Meso-Bereich und können zwischen 10 μm und 500–600 μm liegen. Das Drehen von PR auf größeren Stufenhöhen (mehr als 5–10 μm) führte zu einer unbefriedigenden Beschichtung (Abb. 1i). Mehrere Probleme wie Streifenbildung (PR-Schicht wird faltig, nachdem sie auf ein geätztes Merkmal oder Hindernis trifft), Fingerbildung (PR bleibt in einem tiefen Hohlraum/Kanal hängen und bewegt sich nur entlang dieser Kanäle) und unvollständige Abdeckung (PR trifft auf die Ecke eines geätzten Merkmals usw.). den Rest des Wafers nicht abdeckt) beeinträchtigen den Schleuderbeschichtungsprozess in den zweiten Lithografierunden. Dies führt zum Scheitern des nachgeschalteten Belichtungsprozesses, dessen Erfolg ausschließlich von der Gleichmäßigkeit der PR-Schicht abhängt – und damit zum Scheitern des Gesamtprozesses.

Wir haben zwei Hauptprobleme bei herkömmlichen Methoden identifiziert, die zu einer Komplizierung der zuverlässigen Fertigung führen: unbefriedigende PR-Beschichtungsprobleme bei geätzten Strukturen mit einer Höhe von ≥ 5 μm bei der LELE-Verarbeitung; und unvermeidbare und unkontrollierbare Probleme im Zusammenhang mit der teilweisen Graudosisbelichtung in der Graustufenlithographie. In diesem Zusammenhang haben wir unter Verwendung häufig verwendeter Reinraumwerkzeuge einen neuartigen Prozessablauf erfunden, der all diese Probleme entschärft und uns die einfache Erstellung mehrstufiger hierarchischer Strukturen ermöglicht. Inspiriert durch das Prinzip der Graustufenlithographie der 3D-Strukturierung des Ätzmaskenmaterials haben wir zunächst eine ultradünne Opferschicht aus SiO2 zwischen dem PR und dem Siliziumwafer eingeführt; Die SiO2-Schicht fungiert nun als Maskierungsmaterial während des tiefen Si-Ätzprozesses anstelle von PR. Die Idee besteht darin, mehrere Runden herkömmlicher LELE-Lithographie durchzuführen, um diese neu eingeführte SiO2-Maskenschicht zu strukturieren, anstatt zu versuchen, das darunter liegende Silizium direkt zu strukturieren. Anschließend wird durch tiefes Si-Ätzen dieses 3D-Mehrebenenmuster im SiO2 vertikal skaliert und auf das Silizium übertragen – der gesamte Prozessablauf ist schematisch in Abb. 2 dargestellt. Im ersten Schritt dieses Prozessablaufs wird das dünne ( Zunächst wird eine 1–3 μm große Schicht aus SiO2 durch chemische Gasphasenabscheidung (CVD) bei 250–350 °C oder einen thermischen Oxidationsprozess (≥ 850 °C) auf dem Wafer abgeschieden (Abb. 2b). Um den Prozess BEOL-freundlicher (Back-End-of-Line) zu gestalten, kann alternativ ein hochdichtes plasmaunterstütztes CVD-Verfahren (HDPECVD) verwendet werden, mit dem hochwertiges SiO2 abgeschieden werden kann, jedoch bei einer viel niedrigeren Temperatur von 90–120 °C Verwendung von gerichtetem Plasma zur Verbesserung des Abscheidungsprozesses. Nach dem Wachstum/Abscheidung der SiO2-Schicht werden mehrere Lithographierunden auf der SiO2-Schicht mit unterschiedlichem Design und unterschiedlicher SiO2-Ätzzeit in jeder Runde durchgeführt (Abb. 2c–h). Da die SiO2-Schicht ultradünn ist, sind die maximalen Ätzschritthöhen (≤ 3 μm) in SiO2 immer geringer als die Dicke der PR-Schicht (4–10 μm), die sich während des Schleuderprozesses darüber ausbreitet. Diese Merkmale mit niedrigem Aspektverhältnis im SiO2 beeinträchtigen den PR-Spinnprozess nicht und führen so während der mehreren Lithographieschritte zu vollkommen gleichmäßigen und konformen PR-Beschichtungen auf der SiO2-Schicht (Abb. 2f). Nachdem das gewünschte 3D-Profil in mehreren Lithographiedurchgängen in die SiO2-Schicht geätzt wurde, wird der Wafer in einen Si-Tiefätzer gelegt, der über einen zeitmultiplexten Deep Reactive Ion (DRI)-Prozess, der oft auch als Bosch-Ätzprozess bezeichnet wird, anisotrope Profile in Si erzielt54 . Dieser Ätzschritt ist ein One-Shot-Prozess, der das 3D-Profil im SiO2 anhand der Si:SiO2-Ätzselektivität vertikal skaliert und auf das darunter liegende Silizium überträgt (Abb. 2i). Da es sich bei diesem Ätzschritt um einen Single-Shot-Prozess handelt, entfällt auch die manuelle Handhabung zerbrechlicher, tief geätzter Wafer wie beim Chip-Stacking oder dem herkömmlichen LELE-Prozess, wodurch sich die Prozessausbeute um 50 auf 90 % erhöht. Darüber hinaus sind diese Strukturen jetzt monolithisch oder bestehen aus einem einzigen massiven Si-Substrat, wodurch die mehreren Verbindungsschichten entfallen, die beim Chip-Stacking-Ansatz erforderlich wären – dies erhöht die Zuverlässigkeit und Robustheit des Geräts; Die durch thermische und mechanische Spannungswechsel verursachten Ausfälle in Chip-Stack-Konfigurationen werden wirksam vermieden. Alle oben genannten Effekte zusammen würden zu einer schnelleren Verarbeitungszeit, mehr Ertrag, einem höheren Durchsatz in industriellen Massenfertigungsszenarien und letztendlich zu günstigeren Geräten führen. Die beiden zu Beginn dieses Abschnitts erwähnten Hauptprobleme werden durch diesen Prozessablauf ebenfalls gemildert: Die Verwendung von ultradünnem SiO2 eliminiert die PR-Spin-Coating-Probleme bei geätzten Schritten in SiO2 und die Durchführung einer auf Volldosisbelichtung basierenden Lithographie zur Strukturierung des SiO2 Schicht beseitigt die schwer zu charakterisierenden Probleme, die mit der Graudosis-Lithographie verbunden sind. Darüber hinaus bietet SiO2 als Ätzhartmaske eine sehr hohe Ätzselektivität (200–300) in Bezug auf Si, was mehr als das Doppelte der maximalen Si:PR-Selektivität von 80–100 ist. Dies ermöglicht uns die problemlose Herstellung mesoskaliger Strukturen mit einer Höhe von mehr als 500 μm aus extrem dünnem (≤ 3 μm) SiO2.

Prozessablauf zur Erstellung mehrstufiger Strukturen mit neuartigem Ansatz. (a) Reinigen Sie den nackten Wafer ohne Merkmale; (b) Es wird ein ultradünnes Maskierungszwischenmaterial abgeschieden – in unserem Fall wird SiO2 durch CVD abgeschieden; (c) Das Drehen des Fotolacks (PR) erfolgt gleichmäßig. Dieser Prozess wird nicht behindert, da er dicker als die darunter liegende SiO2-Schicht, die Belichtung von Design 1 und die Entwicklung ist. (d) Unter Verwendung von PR als Maskenschicht wird das darunter liegende SiO2 auf eine genaue Menge geätzt, \({t}_{1}\); (e) Stripping-PR; (f) Die zweite Runde der Lithographie wird durchgeführt – in dieser Situation beträgt die PR-Dicke mindestens das 1,5-fache der maximalen SiO2-Merkmalsdicke, die bereits auf dem Wafer vorhanden ist. Somit ist der Schleuderbeschichtungsprozess erfolgreich und ergibt eine dünne konforme Beschichtung über das gesamte 3D-gekennzeichnete SiO2. Dieses Mal wurde Design 2 bis zu einer anderen Tiefe in SiO2 geätzt, \({t}_{2}\); (g) Nach zwei Litho-Runden wird eine 2-Ebenen-Struktur auf dem SiO2 erstellt; (h) Nach zwei weiteren Litho-Runden können zwei weitere Ebenen erstellt werden. Theoretisch können mit \(n\) Durchläufen der Lithographie mindestens \(n\) Ebenen in der Struktur erzeugt werden; (i) Der Wafer mit einer 3D-strukturierten SiO2-Schicht wird nun in einem tiefen Si-Reaktivionenätzer (RIE) geätzt, um das SiO2-3D-Muster anhand der Si:SiO2-Selektivität (die in unserem Fall etwa 200–300 beträgt) vertikal zu skalieren und zu übertragen zum darunter liegenden Siliziumwafer. Schließlich bleibt uns eine Struktur mit \(n\)-Niveau, hohem Seitenverhältnis und tiefer Struktur in Si; (j) Im Gegensatz zur mehrstufigen Struktur handelt es sich hierbei um eine zum Vergleich dargestellte einstufige Struktur.

Es ist auch wichtig zu beachten, dass alle Schritte, die diesen Prozessablauf ausmachen, wie Lithographie (Rotations-PR, Freilegen von Sub-10-μm-Feature-Design, Entwicklung), SiO2-Abscheidung oder -Wachstum auf einem Si-Wafer, reaktives Ionenätzen (RIE) von SiO2 usw Die DRI-Ätzung von Silizium wird in der Mikrofabrikation in Reinräumen sehr häufig eingesetzt. Dies ermöglicht einen einfachen Transfer von Prozesswissen von einem Labor zum anderen, was für die Graustufentechnologie nahezu unmöglich ist47. Darüber hinaus verwendet dieser Prozessablauf ausschließlich die Vollbelichtungslithographie, die für verschiedene Arten und Dicken von Positiv- und Negativlacken ausführlich charakterisiert und dokumentiert wurde. Die Eliminierung der Graudosis-Exposition beseitigt einige der unvermeidbaren Probleme, die mit der Graustufentechnologie verbunden sind, wie z. B. eine durch die Graudosis induzierte PR-Reaktionskurvenverschiebung, ein Anschwellen des Graudosisprofils, eine unordentliche Oberfläche nach der Entwicklung und eine von der Graudosis abhängige Dimensionsverzerrung22,45,46,47. 48,49 usw. Wenn diese Probleme nicht auftreten, werden auch teure und langwierige experimentelle und numerische Profilfehlerkorrekturschritte, die andernfalls erforderlich wären, effektiv vermieden. Die einzige erforderliche Charakterisierung bezieht sich auf das Ätzen von SiO2 und Si, die beide auch von zahlreichen früheren Forschern ausführlich charakterisiert wurden. Obwohl diese Prozesse sehr verbreitet sind und ihre Charakterisierungsdaten in der Mikrofabrikationsgemeinschaft weithin verfügbar sind, haben wir später im Abschnitt einige Charakterisierungsdaten detailliert beschrieben, die sich speziell auf die von uns verwendeten Werkzeuge und Rezepte beziehen, um jedem, der solche Strukturen herstellen möchte, einen Ausgangspunkt zu bieten. Informationen zu den spezifischen Werkzeugen und Rezepten, die in unserer Studie verwendet werden, finden Sie in der Supplementary Information (SI)-Tabelle 1. Die SI-Tabelle 3 enthält auch einen tabellarischen Vergleich dieser neuartigen Methode mit den bestehenden Prozessen des Chip-Stackings und der Graustufenlithographie (maskiert und). maskenlos).

Vorläufige Tests mit diesem neuartigen Prozessablauf (Abb. 2) haben die Fähigkeit gezeigt, hierarchische 3D-Merkmale mit Nennabmessungen (Breite) ~ 5–10 μm und Seitenverhältnissen (Verhältnis von Höhe zu Breite) von bis zu 10–15 zu erstellen. Die Auflösung kann durch den Einsatz von Elektronenstrahllithographie anstelle der herkömmlichen Fotolithographie weiter auf einen Maßstab unter 500 nm verbessert werden. Der Prozessablauf zur Erstellung mehrstufiger Strukturen wurde fünfmal mit unterschiedlichen Stufenhöhen (250 nm bis 1,5 μm) getestet, um Zuverlässigkeit und Wiederholbarkeit sicherzustellen.

Die Auflösung und Wiederholbarkeit des Prozesses hängt von unserer Fähigkeit ab, die Ätzrate von Silizium, die Ätzrate von SiO2 und die Si:SiO2-Ätzselektivität genau zu charakterisieren. Zwei Charakterisierungsmasken wurden so konstruiert, dass sie bei lithographischer Ausrichtung kleine Designfelder aus überlappenden geraden Mikrokanälen und quadratischen Säulenarrays enthalten. Mit diesen beiden Lithographiemasken wurden an drei verschiedenen Tagen drei verschiedene Charakterisierungswafer in unterschiedlichem Ausmaß geätzt. Diese beiden Masken wurden für unterschiedliche Zeiträume mit einem 600–800 W-Plasma aus CHF3 und CH4 im Verhältnis 3:1 in einem reaktiven Ionenätzgerät namens Oxford RIE geätzt, um Stufen mit Stufenhöhen zwischen 250 nm und 1,5 μm im SiO2 zu erzeugen Schicht. Das SiO2-Ätzrezept wurde so gewählt, dass die SiO2:PR-Selektivität ≥ 1 war. Dadurch wird sichergestellt, dass die PR-Schicht (4–10 μm) immer dick genug ist, um das darunter liegende dünnere (3 μm) SiO2 vollständig zu ätzen und so eine weitere Schicht zu eliminieren Parameter (SiO2:PR-Ätzselektivität) erfordert keine genaue Charakterisierung. In diesem Szenario sind nur die Informationen zur SiO2-Ätzrate wichtig. Die Ergebnisse der SiO2-Ätzung sind in Abb. 3 zusammengefasst. Die Rohdaten, aus denen Abb. 3 erstellt wurde, sind in SI-Tabelle 2 zu finden.

Um die Wiederholbarkeit und Standardisierbarkeit unserer Methode sicherzustellen, ist eine genaue Charakterisierung der Oxidätzrate unerlässlich. Der aus geraden Kanälen und quadratischen Säulenanordnungen bestehende Charakterisierungswafer wurde für unterschiedliche Zeiträume (1–100 s) geätzt und die Ätzung pro Sekunde in Å/s wurde als Funktion des Merkmalstyps und der Abmessungen aufgetragen. Die zur Darstellung dieser Kurven verwendeten Rohdaten finden Sie in den Zusatzinformationen. Es wurde festgestellt, dass die Ätzrate pro Sekunde bei etwa 5 nm/s stabil ist. Die wichtige Beobachtung ist jedoch die Tatsache, dass in SiO2 keine vom Aspektverhältnis abhängige Ätzung (ARDE) festgestellt wird (die maximale Variation der Ätzung pro Sekunde in verschiedenen Geometrien betrug ~ 1 nm/s), was einen konsistenten Wert für die Ätzung pro Sekunde zeigt unterschiedliche Merkmalsabmessungen und Belastungsbedingungen. Es zeigte sich, dass die Ätzzeit pro Sekunde stärker von der Gesamtätzzeit beeinflusst wird, insbesondere wenn die Gesamtätzzeit kurz ist (≤ 5 s) – dies ist das Ergebnis einer unvorhersehbaren und ungleichmäßigen Plasmaverteilung in der Ätzkammer, wenn die Ätzzeit nur 1 s beträgt . Bei höheren Ätzzeiten (30 s, 60 s, 100 s) zeigten die Ätzwerte pro Sekunde weniger Schwankungen und lagen näher beieinander (45–50 Å/s), was auf eine gute Prozesskontrolle, Wiederholbarkeit und Zuverlässigkeit hinweist.

Nach einer detaillierten Charakterisierung der Oxidätzrate mithilfe unseres spezifischen Rezepts können wir präzise 3D-Strukturen in Siliziumoxid konstruieren. Die Zielstrukturen für unsere Kühlgeräte mit extremem Wärmefluss sind extrem hoch (~ 500 μm) und benötigen eine 3–4 μm dicke SiO2-Schicht als Maske. Wie bereits erwähnt, haben wir ein aggressives Oxidätzrezept mit einer guten SiO2:PR-Selektivität von ≥ 1 gewählt. Dies ist notwendig, um die dicken SiO2-Schichten (bis zu 4–6 μm) mit einer relativ dünneren PR-Schicht ätzen zu können (4 μm, wodurch eine Auflösung von unter 10 μm erhalten bleibt). Allerdings führt die Wahl eines aggressiven SiO2-Ätzrezepts (mit einem hohen Ätzwert pro Sekunde) zu einer Verschlechterung der vertikalen Auflösung unserer Zielstrukturen. Wie in Abb. 3 zu sehen ist, in der die Ätzung pro Sekunde (Å/s) als Funktion der gesamten Ätzzeit und der Strukturdimension dargestellt ist, konnte die durchschnittliche Ätzrate für einen weiten Bereich von Zielobjekten gut kontrolliert werden und lag zwischen 45 und 54 Å/s Strukturen und für alle Ätzdauern über 1 s. Bei 1 s wird beim Ätzen stark an Plasma gespart und die Ätzrate ist viel geringer, etwa 30 Å/s. Darüber hinaus hat das Plasma in 1 s nicht genügend Zeit, sich gleichmäßig in der Kammer zu verteilen, was ebenfalls zu einer vom Aspektverhältnis abhängigen Ätzung (ARDE) führt, bei der die Strukturabmessungen die Ätzrate stärker beeinflussen (dies ist in Grau zu sehen (1 s). (Ätzung) Liniendiagramm in Abb. 3). Somit wird die vertikale Auflösung der 3D-Strukturen unter Verwendung unseres Ätzrezepts durch eine Ätzzeit von mindestens 2 s bestimmt und ist in der SiO2-Schicht auf etwa 10 nm begrenzt. Dies entspricht etwa 2–3 μm, wenn die Stufe skaliert und durch DRIE auf den Siliziumwafer übertragen wird. Das Ätzrezept kann angepasst werden (Durchflussraten der jeweiligen Gase können reduziert werden, das Verhältnis von CHF3 und CH4 könnte verringert werden), um es weniger aggressiv zu machen und somit den Wert der Ätzung pro Sekunde zu verringern – dies führt zu einer besseren Kontrolle der Ätzung und bessere (unter 10 nm) Auflösung in der SiO2-3D-Struktur, allerdings auf Kosten einer geringeren SiO2:PR-Selektivität. Nach der Charakterisierung des Oxidätzschritts wurde im Plasma-Therm Deep Silicon Etcher (PTDSE) für die Musterübertragung ein Rezept für das tiefe Siliziumätzen verwendet. Auch dieses Rezept wurde anhand eines Testwafers mit geraden Kanälen mit einer Breite von 100–200 μm charakterisiert. Die durchschnittliche Si:SiO2-Ätzselektivität über eine Ätztiefe von insgesamt 200 μm lag bei etwa 270–290. Dieses Ätzrezept wurde umfassend durch eine frühere Arbeit von Hazra et al. entwickelt. die eine Ätzselektivität von 220–240 und eine Ätzrate von 8 μm/min berichteten54. Das von Hazra et al. verwendete DSE-Rezept. war außerdem äußerst aggressiv, um ihrer extremen Gesamtätzhöhe von 1000 μm gerecht zu werden, obwohl diese aggressive Rezeptur zu einer verringerten Si:SiO2-Selektivität führt. In unserer vorliegenden Studie wurde das Rezept leicht modifiziert (der Siliziumätzschritt, „EtchA“-Zeit wurde von 3,354 auf 3,1 s verkürzt), um die Selektivität zu erhöhen und ein geraderes, anisotroperes Ätzprofil zu erreichen. Der Ätzverlauf für unsere Charakterisierungsstrukturen (senkrecht angeordnete gerade Kanalanordnungen unterschiedlicher Breite und Abstände zwischen 100 und 400 μm) wurde untersucht. Es wurde festgestellt, dass 172 μm Silizium für 0,61 μm Oxid geätzt wurden, wodurch die durchschnittliche Si:SiO2-Ätzselektivität ~282 beträgt. Einige der durch diesen Prozessablauf erhaltenen endgültigen mehrstufigen Strukturen sind in Abb. 4 dargestellt.

Demonstration von 3D-Strukturen mit unserer Herstellungsmethode. (a) Mehrstufige Pin-Fin-Strukturen (isometrische Ansicht); diese Art von Strukturen können nicht mit herkömmlichen Chip-Stacking- oder doppelseitigen Ätztechniken hergestellt werden; (b) (Seitenansicht) mehrstufige Pin-Fin-Anordnung; (c) Stiftrippen und Stiftlöcher; (d) gerade Mikrokanäle, die von der Waferoberfläche abgesetzt sind (die Möglichkeit, eine Mikrostruktur leicht von der Oberfläche abzusetzen, hat ein enormes Potenzial, das Verbinden, Integrieren und Zusammenpacken verschiedener Komponenten zu erleichtern, insbesondere für Anwendungen mit extremer Wärmeflusskühlung); (e) gezackte Rippenstrukturen mit unterschiedlichen Seitenverhältnissen und Abständen; (f) vergrößertes Bild einer Pin-Fin-Pin-Loch-Probe; (g) (Seitenansicht) Gezackte Flossenstruktur mit 4 verschiedenen Ebenen; (h) Isometrische Ansicht einer zweistufigen gezackten Flosse; (i) Überlappende Maskendesigns zur Herstellung von gezahnten Rippen auf drei Ebenen; (j) 3-Ebenen-Kanäle mit Nadellöchern, die durch Überlappung zweier Maskendesigns entstehen. Diese Art von kleineren Stift-Rippen- oder Stiftloch-Strukturen, die auf einer größeren darunter liegenden Mesostruktur verteilt sind, ist eine einfache und praktikable Möglichkeit, die thermische Leistung der aktiven Wärmeübertragungszone in Kühlern zu verbessern; (k) Stiftrippen, die aus der Kanalbasis herausragen und höher sind als die Kanalseitenwandhöhe (einige Rippen sind beim Wafer-Dicing gebrochen); (l) 3-stufiges „Stuhl“-Design, hergestellt durch überlappende quadratische Mustermaskendesigns an den Seiten der Kanäle; (m) Das ursprüngliche Konzept einer Pin-Fin-Anordnung, die auf zwei Ebenenkanälen strukturiert ist, deutet auf unsere Fähigkeit hin, auch wohlgeordnete, oberflächenverstärkte Strukturen herzustellen.

Abschließend ist zu erwähnen, dass das vorgeschlagene Herstellungskonzept, das die multilithografische Strukturierung einer dünnen Maskierungsschicht mit niedrigem Seitenverhältnis und die anschließende Übertragung dieser Struktur auf ein darunter liegendes Substrat durch Einzelpunktätzen umfasst, auf andere Maskenmaterialien und Substrate ausgeweitet werden kann auch Kombinationen. Anstelle von CVD-Siliziumdioxid können auch dünne Metallschichten (Au, Pt, Cr, W, Al) oder andere Oxid- (Alumina) oder Nitrid- (SiNx) Materialien als Maskenschicht verwendet werden. Beim DRIE von Silizium mit einer Al-Maskenschicht31 wurde eine überlegene Ätzselektivität von 105 beobachtet – die Kombination mit unserer Methode ermöglicht daher die Erstellung von mehrstufigen Strukturen mit extremem Seitenverhältnis (≥ 35). Diese neuen Maskierungsmaterialien können auch durch andere Techniken wie Verdampfen, Sputtern, Atomlagenabscheidung (ALD) oder Elektroplattieren auf unserem Wafer abgeschieden oder aufgewachsen werden – wodurch das Konzept in einer Vielzahl von Fertigungsszenarien anwendbar wird. Für verschiedene Masken- und Substratmaterialsätze bleibt der Charakterisierungsprozess relativ unverändert, wobei ein einziger Durchlauf mit einer Charakterisierungsmaske erforderlich ist, um die Ätzraten und Selektivitäten zu quantifizieren, die für die verwendeten Werkzeuge und Prozessbedingungen spezifisch sind – diese Parameter müssen dann für das Design verwendet werden den Prozessablauf zur Erlangung unserer endgültigen mehrstufigen Zielstrukturen.

Verschiedene Arten von mehrstufigen Strukturen, die mit dieser Methode hergestellt wurden, mit unterschiedlichen Strukturbreiten, -höhen und -topographien sind in Abb. 4 dargestellt. Alle Strukturen in Abb. 4 werden über zwei Lithographierunden durch Überlappung zweier Lithographiemasken hergestellt. Theoretisch können mehrere Lithografierunden auf dem Wafer durchgeführt werden, um Strukturen auf \(n\)-Ebene zu erzeugen.

Normalerweise entspricht die Anzahl der „Lithographie + SiO2-Ätz“-Schritte der Anzahl der in der Mehrebenenstruktur erforderlichen Ebenen (siehe Abb. 2a–i), obwohl bald erkannt wurde, dass weitere Vereinfachungen leicht vorgenommen werden könnten, um die zu reduzieren Anzahl der für diese Strukturen erforderlichen Bearbeitungsschritte. Beispielsweise könnte die endgültige Stufenhöhe in der SiO2-Schicht vollständig durch ein gebackenes Photopolymer ersetzt werden, wodurch eine Runde „Litho + SiO2-Ätzung“ reduziert würde. Dies würde jedoch erfordern, dass der Herstellungsablauf so gestaltet wird, dass die unterschiedlichen Ätzraten und Selektivitäten von PR, SiO2 und Si während des Prozesses berücksichtigt werden. Zusätzlich zu diesen Vereinfachungen können die Maskendesigns selbst geschickt kombiniert und zwischen verschiedenen „Litho + SiO2 Etch“-Runden überlappt werden, was zu mehr Ebenen mit weniger „Litho + Etch“-Runden führt. Ein Beispiel wurde in Abb. 5 gezeigt, wo zwei „Litho + Etch“-Runden mit zwei Masken eine Struktur mit drei Ebenen erzeugen könnten. Weitere solcher Strukturen sind in Abb. 4g,i,j,l,m zu sehen, die alle durch Überlappung zweier Masken erstellt werden (die genauen Maskenentwürfe bleiben dem Leser als Übung überlassen).

Überlappende Maskendesigns zur Herstellung komplizierter Strukturen. (a) Das erste Maskendesign (wie in (d) gezeigt) wird freigelegt und SiO2 wird um den Betrag \({t}_{1}\) geätzt; (b) Das zweite Design überlappt teilweise die in Schritt (a) erstellte Stufe und (c) Es wird eine SiO2-Ätzung durchgeführt, um ein dreistufiges 3D-Merkmal auf dem SiO2 zu erhalten. Ein 2D-Gegenstück dieser 3-Ebenen-Struktur ist in (e–iv) dargestellt. (d) Die beiden Masken für zwei Runden „Litho + SiO2-Ätzung“ werden gezeigt. Das Bild rechts zeigt, wie sich die Masken überlappen. (e) Es wurde bestätigt, dass Stufen bis zu 1 μm in SiO2 den Belichtungsprozess nicht beeinflussen. Wenn die Stufenhöhe ≥ 1,5 μm beträgt, kann es zu Unterschieden in der Belichtungsqualität zwischen den beiden SiO2-Stufen 1 und 2 kommen. In dieser Situation könnten die unteren Stufen unterbelichtet sein, wobei PR zurückbleibt – ein längerer nachgeschalteter Entschleimungsschritt ( ≥ 2 Min.) behebt das Problem, indem dieser gesamte Rest-PR entfernt wird. (f) Nach DRIE wird die Struktur vertikal skaliert und auf das darunter liegende Si übertragen, und die neuen 3-Ebenen-Pin-Fin-Loch-Kombinationsanordnungen werden gezeigt; (g) vergrößerte Ansicht der 3D-Struktur; (h) seitliche Querschnittsansicht. Die Zahlen repräsentieren die verschiedenen Ebenen.

Abbildung 5 zeigt eine Stiftrippenstruktur mit mehreren Höhen und einer zusammengeätzten Säulen- und Taschenstruktur. Außerdem wird kurz auf ein Problem eingegangen, das während der Belichtungsphase der Lithografie bei der Herstellung extrem hoher Strukturen auftreten kann. Die Maßhaltigkeit und Belichtungsqualität des MLA Heidelberg Maskless Aligners (Belichtungswerkzeug) hängt von zwei Hauptparametern ab: der Belichtungslichtenergie (Dosis) und dem Fokusort (Defokussierung) in Bezug auf die PR-Oberseite, obwohl die Belichtungsenergie dies tut die primäre Determinante. Es wurde zuvor beobachtet, dass eine Änderung des Defokuswerts von der optimalen Fokusebene um ± 1 μm den Belichtungsschritt nicht wesentlich beeinflusst – dies deutet darauf hin, dass, wenn die 3D-Merkmale und Stufenhöhen im SiO2 niedrig genug (im Submikronbereich) sind, Die Belichtungsqualität bei beiden Stufen ist relativ gut. Überlappende Designs wurden auf Stufenhöhen von 0,5 bis 1 μm getestet und die Belichtungen erwiesen sich auf beiden Ebenen als zufriedenstellend (Abb. 5a–c). Wenn eine Photolithographie auf SiO2-Stufenhöhen versucht wird, die mehr als 1,5 μm voneinander entfernt sind, können die Belichtungsqualitäten auf den beiden unterschiedlichen SiO2-Ebenen leicht unterschiedlich sein (wie in der schematischen Darstellung in Abb. 5d–e gezeigt, kann dies bei einer der Ebenen der Fall sein). Über- oder Unterbelichtung) und dies sollte bei der Belichtung berücksichtigt werden. Eine einfache und schnelle Lösung besteht darin, die Fokusebene des Belichtungslichts so zu wählen, dass die Lithographie mit vollständiger Belichtung auf der oberen Ebene oder am oberen Ende der Stufe erfolgt. Dies würde gleichzeitig bedeuten, dass die untere Ebene (das Ende der Stufe) unterbelichtet ist und möglicherweise Rest-PR zurückbleibt. Dies kann dadurch behoben werden, dass die Dauer des nachgeschalteten Entschlammungsschritts auf längere Zeiträume (≥ einige Minuten) verlängert wird. Die extra niedrige Leistung (50 W) O2-Plasma-Entschleimungszeit würde das gesamte restliche PR von der unteren Oberfläche der SiO2-Stufe entfernen und dieses Problem lösen. In diesen Fällen könnte jedoch eine etwas dickere (≥ 5 μm) anfängliche PR-Schicht von Vorteil sein, um das zusätzliche PR auszugleichen, das während des Abschlackungsschritts geätzt wird. Dadurch wird sichergestellt, dass immer noch ausreichend PR übrig bleibt, um die darunter liegende SiO2-Schicht auch danach vollständig zu ätzen längerer Descum-Schritt.

Der in diesem Brief beschriebene neuartige Ansatz ermöglicht es uns, durch einen einfach zu charakterisierenden und standardisierbaren Prozessablauf präzise mehrstufige, hybride Strukturen zu erstellen. Einige dieser Arten von Strukturen sind in den Abbildungen dargestellt. 4 und 5, aber die Möglichkeiten sind endlos. Da die meisten dieser Strukturen hoch sind (≥ 100 μm, oft sogar 500 μm) mit hohen (5–10) bis extremen (15–25) Aspektverhältnissen, gehen wir davon aus, dass diese Art von Strukturen am besten für Mikro- und Mesostrukturen geeignet sind Mikrofluidik- und Flüssigkeitskühlungsanwendungen im Großmaßstab. Die Fähigkeit, 3D-, multifunktionale und hierarchische Strukturen zu erstellen, ist derzeit besonders wichtig für die akademische und industrielle Forschungsumgebung, da unzählige Anwendungen im Mikro-Meso-Maßstab von der Leistung profitieren können, indem herkömmliche einstufige Gerätestrukturen lediglich durch multifunktionale und multifunktionale Strukturen ersetzt werden -Ebene, Hybridfunktionen. Einige dieser Anwendungen sind im folgenden Abschnitt aufgeführt.

Mikrofluidikgeräte vom Flusstyp verfügen über aktive Regionen mit vielfältigen Funktionalitäten, einige Beispiele sind Mischen, Partikeltrennung, Sortierung, Trennung und Analyse5,32,33,34,35,36. Neben dem aktiven Bereich bestehen die Geräte auch aus Strömungskanälen, Einlässen und Auslässen, die normalerweise unterschiedliche Strukturgrößen und auf unterschiedlichen Ebenen im Gerät aufweisen – die Strömungskanäle sind breiter, Ein- und Auslässe durchgeätzt, um Strömungsverbindungen hinein und heraus zu ermöglichen das Gerät. Einer der gebräuchlichsten Ansätze für die Massenfertigung solcher Geräte ist die thermische oder UV-Nano-Imprint-Lithographie (NIL)14,15. Dabei wird ein starrer Master oder eine starre Form (häufig aus Si) verwendet, mit der das Spiegelbild aus verschiedenen weichen Polymeren wie SU-8, PDMS, Polyurethanen (PU), Polycarbonaten (PC), PMMA usw. erstellt wird. Derzeit gibt es keine Methode existiert für die Erstellung mehrstufiger starrer Formen18 – unsere Methode wird in diesem Zusammenhang äußerst nützlich sein. Darüber hinaus ermöglicht dieser Prozess die einfache Herstellung von Mikrostrukturen und Strömungskanälen im aktiven Bereich mit unabhängiger Steuerung der Strukturbreiten und -höhen, was den Weg für Multiphysik auf demselben Gerät oder Chip ebnet57,58. Komplizierte Strömungswege und interne abgedeckte Strukturen, wie sie von Duong et al.59 im 3D-Druck demonstriert wurden, können jetzt problemlos durch das Verbinden zweier Siliziumchips oder der entsprechenden NIL-gegossenen Polymere hergestellt werden. Auch die digitale oder tröpfchenbasierte Mikrofluidik könnte von solchen Mehrebenenstrukturen immens profitieren57. Sorgfältig gefertigte mehrstufige Stifte und Löcher (wie die in Abb. 4c,f gezeigten) in Kombination mit mehrstufigen Kanälen könnten verwendet werden, um Tröpfchen effektiv zu erzeugen, einzufangen und zu transportieren. In letzter Zeit haben Hybridstrukturen auch in der Optofluidik-Community große Aufmerksamkeit erregt – Parks et al. demonstrierte die Integration einer PDMS-basierten Flüssigkeitshandhabungsschicht mit einem optischen Siliziumsensor zur Einzelpartikelerkennung, zeigte aber auch deren Funktionalität für andere Zwecke wie die Markierung von DNA, die Einzelmolekülerkennung, das Mischen, Verteilen und Filtern von Partikeln60. Ein weiterer Vorteil der mehrstufigen Gestaltung besteht darin, dass die Möglichkeit, Oberflächenstrukturen zu erzeugen, auch mehrere Möglichkeiten bei der Gestaltung bioinspirierter Oberflächendesigns mit gezielten Funktionalitäten bietet13,39, zum Beispiel superhydrophobes, selbstreinigendes Lotusblatt, Antifouling und widerstandsreduzierende Haifischhaut usw Muschelschalentexturen, entspiegelte Mottenaugen, photonische Schmetterlingsflügelstrukturen und „wassersammelnde“ Mikrobeulen wie die Haut von Namibkäfern. Die Möglichkeit, mehrere verschiedene Funktionalitäten auf demselben Chip zu kombinieren, wird uns dazu bringen, vielseitigere Lab-on-a-Chip (LOC)-Geräte18 zu entwickeln, die einen massiven Einfluss auf die Bio-Mikrofluidik haben werden5,58,60,61,62 und ermöglichen Tröpfchenbasierte Proben- und Reagenzientests kleiner Volumina, biologische und chemische Tests, Point-of-Care-Diagnostik, Zell- und DNA-Manipulation5,61,62 sowie Tests, Trennung35, Sortierung34 und Analyse36. Diese Arten von mehrschichtigen Materialien werden auch vielfältig in Situationen eingesetzt, in denen Oberflächen- und Absorptionsverbesserungen erforderlich sind, darunter Wasserabsorption, Entsalzung, Kohlenstoffabscheidung, Batterietechnologie, Adsorptionsverbesserung, Katalyse, Oberflächenspannung oder durch Kapillarkräfte angetriebener Transport6,7. 8,9,10,11,12 usw.

Darüber hinaus haben hybride mehrstufige Strukturen wahrscheinlich den größten Einfluss auf die Verbesserung der Geräteleistung im Bereich eingebetteter Flüssigkeitskühlungslösungen. Die Hybridisierung des Mikrokanals auf der Seite der Kühlplatte (durch Einführung eines Mikrodochts oder von Oberflächenmerkmalen am Boden eines geraden Mikrokanals) führt zu einer erhöhten thermischen Leistung in Szenarien mit zwangsgespeister Mikrokanalkühlung8. Zhu et al. berichteten über Verbesserungen des Wärmeübertragungskoeffizienten von 17 % auf über 117 % für mikrostrukturierte Mikrokanäle im Vergleich zu glatten Mikrokanälen für 25 bzw. 75 µm hohe Mikropillen unter Verwendung von Methanol als Arbeitsmedium ohne signifikanten Anstieg des Druckabfalls56. Passive Wärmeverteiler wie Wärmerohre und Dampfkammern mit hybriden, biporösen Dochten anstelle eines herkömmlichen monoporösen Dochtes zeigten eine deutliche Verbesserung ihrer Wärmeverteilungsfähigkeiten40. Dai et al. haben gezeigt, dass ein komplexer Hybriddocht, wenn er in einem Wärmerohr verwendet wird, im Vergleich zu massivem Kupfer zu einer massiven 30-fachen Steigerung der maximal ausbreitbaren Wärmelast führt41. Zhou et al. bestätigten die überlegene Leistung hybrider Dochte mit zwei Ebenen in Dampfkammern, indem sie eine Verringerung des thermischen Widerstands des Geräts um 28 % bzw. 17 % im Vergleich zu einem hochmodernen kommerziellen monoporösen und biporösen Docht TGP (Thermal Ground Plane) berichteten42 . Darüber hinaus ermöglicht uns unsere Fähigkeit, zuverlässig hierarchische Strukturen auf mehreren Ebenen zu erstellen, eine aggressive Erweiterung der aktiven Kühlvorrichtung auf der Basis erzwungener Konvektion unter Verwendung einer zweiten 3D-Verteilerschicht für eine effiziente Flüssigkeitszufuhr. Das Scale-Up von Hochleistungskühlern ist ein immens wichtiges Ziel, das im Bereich der eingebetteten Kühlung verfolgt wird. Dies wird es uns ermöglichen, energiedichte leistungselektronische Komponenten eng zusammenzupacken und den Trend zur Verbesserung der Elektronikgeschwindigkeit und Energiedichte fortzusetzen8,43,52,54. Pan et al. führte numerische Simulationen in ANSYS Fluent durch, um das Design von Manifolded Coolers (MMC) mit traditionellen 2D Coolers (TMCs) zu vergleichen und zeigte, dass die MMCs bei gleichen Durchflussraten ein ähnliches Maß an thermischer Leistung wie die TMCs erreichen können, jedoch ein gewaltiges 4- bis 6-faches erreichen × Reduzierung des Gesamtgerätedrucks und damit 4- bis 6-fache Verbesserung des Leistungskoeffizienten (COP)43. Zusätzlich zu aktiven Kühlern werden solche hybriden Dochte mit mehreren Höhen auch die Skalierung von Wärmeverteilertechnologien ermöglichen. Dies ist möglich, da Merkmale mit mehreren Tiefen bei geschickter Kombination im Verdampferdocht die Massentransportbeschränkungen, die dünne Verdampferdochte in Flüssigkeit-zu-Dampf-Phasenwechsel-Wärmeverteilern auferlegen, effektiv lösen können8. Bei ultradünnen Dampfkammerdesigns könnten die kurzen Säulen über den heißen Stellen platziert werden, um einen sehr dünnen Flüssigkeitsfilm zu halten, was zu einem geringeren Wärmewiderstand und einer besseren thermischen Leistung führt, während die hohen Säulen als Flüssigkeitsnachschubkanäle fungieren und einen ausreichenden Dochtwirkungsmassenstrom liefern vom Kondensator zurück zum Verdampfer über große Geräteflächen. Zusätzlich zu den oben genannten Verwendungsmöglichkeiten für mehrstufige Strukturen können die 3D-Mikrostrukturoberflächen mit aktiver Wärmeübertragung selbst oberflächenverstärkt werden (Abb. 4m zeigt Oberflächenverbesserungen auf strukturierten Kanälen mit zwei Ebenen, um eine Gesamtstruktur mit drei Ebenen zu erhalten). ) mit dieser neuartigen Methode. Diese Oberflächenverbesserungen werden gut geordnet sein und ihre Abmessungen werden genau kontrolliert, sodass sie herkömmliche Methoden zur Erzeugung stochastischer Oberflächenrauheitselemente (Drähte44, Röhren38, Nadeln63, Brokkoli64, Polyp65) ersetzen können, die schwerer zu kontrollieren und zu wiederholen sind. Diese Oberflächenverbesserungen führen zu massiven Verbesserungen der Gerätemetriken, indem sie den kapillaren Dochtwirkungstransport vom Kondensator zurück zum Verdampfer verbessern. Dies wurde durch die Herstellung von Hybriddochten unter Verwendung von UV-Laser-induzierter Rauheit64,65, hydrothermischer ZnO-Nanodrahtsynthese auf Siliziummikrostruktur56 und anschließender Durchführung von Kapillarratenanstiegstests gezeigt, um zu zeigen, dass ihre Dochtwirkungsrate viel schneller ist als die ihrer nicht-hybriden glatten Gegenstücke ohne Oberfläche Verbesserungen. Oberflächenverbesserungen in Kombination mit mehrstufigen Strukturen erhöhen zusätzlich die Gesamtoberfläche, die für die Wärmeübertragung in Szenarios mit erzwungener Konvektion und Dünnschichtverdampfung zur Verfügung steht66, und führen zu einer erhöhten Anzahl von Blasenkeimbildungsstellen in Pool- und Fließsiederegimen7,8,44.

Einige der oben genannten Anwendungen tragen dazu bei, die Überlegenheit hybrider Strukturen zu begründen. In vielen Anwendungen werden Verbesserungen um mehrere Größenordnungen erzielt, wenn herkömmliche monoporöse oder einstufige Dochte durch hybride, mehrstufige Dochte ersetzt werden. Wir hoffen, dass dieses standardisierte Rezept zur Herstellung solcher mehrstufigen Strukturen zu mehr Forschung und schließlich zur Einführung solcher Strukturen in kommerziellen Geräten und in realen Szenarien führen wird.

In diesem Brief haben wir einen neuartigen Musterübertragungsprozess von Siliziumoxid (SiO2) auf Si detailliert beschrieben, der mehrere Lithographien nutzt, um zunächst eine dünne SiO2-Schicht mit niedrigem Seitenverhältnis zu strukturieren, die dann durch eine einmalige Tiefenätzung auf das darunter liegende Si-Substrat übertragen wird Technik. Die extrem hohe Ätzselektivität zwischen Si:SiO2-Ätzung von 200–300 ermöglicht es uns, mehrstufige Strukturen mit extremen Höhen von ≥ 500 μm und extremem Aspektverhältnis (≥ 10–15) in Silizium mit einem relativ dünnen (≤ 3) Oxid zu erzeugen Schicht darüber legen. Mit der aktuellen Oxid-Ätzrezeptur erhalten wir eine stabile Auflösung von 90–100 Å in SiO2 für 2 s Ätzzeit, was eine vertikale Si-Ätzauflösung von 2–3 μm in Si ergibt. Durch weitere Optimierungen des Ätzrezepts kann die Oxidätzung pro Sekunde reduziert und die Auflösung weiter verfeinert werden. Dieser Prozess bietet mehrere Vorteile gegenüber herkömmlichen Chip-Stacking- und Graustufen-Lithographie-Ansätzen, die in der Zusatzinformationstabelle 3 ausführlich zusammengefasst sind. Der neuartige Prozess wurde verwendet, um unzählige mehrstufige Strukturen zu erzeugen, wie in Abb. 4 dargestellt, außerdem Abb . 5 zeigt, wie mehrere Lithographiemasken überlappt werden können, um mit weniger Lithographieschritten mehr Ebenen zu erzeugen. Solche mehrstufigen Strukturen auf der Mikro- und Mesoskala finden weitreichende Anwendungen in den Bereichen Mikrofluidik, Kühltechnologien, Biologie, Filtration und Energie, wie in den Abschnitten „Einführung“ und „Auswirkungen“ kurz erwähnt. Darüber hinaus löst unser neuartiger Prozess mehrere praktische Charakterisierungs- und Standardisierungsherausforderungen, die den Einsatz von Graustufenlithographie und konventionellem LELE-Prozess beeinträchtigen, um mehrstufige hierarchische Strukturen zu schaffen, und ist daher wohl besser für kommerzielle Massenfertigungssituationen mit hohem Durchsatz geeignet. Eine schwierige, nicht standardmäßige Fertigung mit engen Prozesstoleranzen ist der Hauptgrund dafür, dass mehrstufige 3D-Strukturen in kommerziellen Geräten nicht regelmäßig zu beobachten sind. Ein Standardrezept, das leicht von einem Labor in ein anderes übertragen werden kann, eröffnet eine Reihe von Möglichkeiten in der Forschung und Entwicklung solcher Hybridstrukturen zur Verbesserung der Funktionalität und Steigerung ihrer Leistung um ein Vielfaches.

Alle während dieser Studie generierten oder analysierten Daten sind in diesem veröffentlichten Artikel und seinen ergänzenden Informationsdateien enthalten.

Chen, Y. Nanofabrikation durch Elektronenstrahllithographie und ihre Anwendungen: Ein Überblick. Mikroelektron. Ing. 135, 57–72 (2015).

Artikel CAS Google Scholar

Bojko, RJ, Li, J., Baehr-Jones, T. & Hochberg, M. Elektronenstrahllithographie-Schreibstrategien für optische Siliziumwellenleiter mit geringem Verlust und hohem Einschluss. J. Vac. Wissenschaft. Technol. B 29, 06F309 (2011).

Artikel CAS Google Scholar

Fricke-Begemann, T. & Ihlemann, J. Hybride mikrooptische Elemente durch laserbasierte Herstellung von Fresnellinsen auf der Endfläche von Gradientenindexlinsen. Opt. Express 26(18), 23751–23759 (2018).

Artikel ADS CAS PubMed Google Scholar

Fedeli, JM et al. Entwicklung von Silizium-Photonikgeräten unter Verwendung mikroelektronischer Werkzeuge für die Integration auf einem CMOS-Wafer. Adv. Opt. Technol. 2008, 412518 (2008).

Artikel Google Scholar

Wang, C., Nam, SW & Cotte, J. Integration von Opfer-Nanofluidikchips im Wafermaßstab zur Erkennung und Manipulation einzelner DNA-Moleküle. Nat. Komm. 8, 14243 (2017).

Artikel ADS CAS PubMed PubMed Central Google Scholar

Gale, BK et al. Ein Überblick über aktuelle Methoden zur Herstellung mikrofluidischer Geräte und zukünftige Kommerzialisierungsaussichten. Erfindungen. 3, 60 (2018).

Artikel Google Scholar

Liang, G. & Mudawar, I. Übersicht über die Verbesserung des Kotsiedens durch Oberflächenmodifikation. Int. J. Wärme-Massentransf. 128, 892–933 (2019).

Artikel Google Scholar

Liang, G. & Mudawar, I. Übersicht über die Verbesserung des Kanalströmungssiedens durch Oberflächenmodifikation und Schemata zur Unterdrückung von Instabilität. Int. J. Wärme-Massentransf. 146, 118864 (2020).

Artikel Google Scholar

Lixiang, L., Weng, Q., Xueyi, S., Zhang, L., Schmidt, OG Fortschritte bei mikrogroßen On-Chip-Lithium-Ionen-Batterien (2017).

Chen, Y. et al. Skalierbare Mikrofabrikation von dreidimensionalen, porösen, miteinander verbundenen Graphengerüsten mit Kohlenstoffkugeln für leistungsstarke Mikro-Superkondensatoren auf Kohlenstoffbasis. J. Materiomics 5, 303–312 (2019).

Artikel Google Scholar

Zhang, Y., Xiong, T., Nandakumar, DK & Tan, SC Strukturarchitektur für die salzabweisende solare Grenzflächenentsalzung, um eine Hochleistungsverdampfung mit In-situ-Energieerzeugung zu erreichen. Adv. Wissenschaft. Nachrichten 7, 1903478 (2020).

Artikel CAS Google Scholar

Navin, CV, Krishna, KS, Theegala, CS & Kumar, CSSR Lab-on-a-Chip-Geräte für die Synthese von Goldnanopartikeln und ihre Rolle als Katalysatorträger für die kontinuierliche Flusskatalyse. Nanotechnologie. Rev. 3(1), 39–63 (2013).

Artikel CAS Google Scholar

Greiner, C., Arzt, E. & Campo, A. Hierarchische Gecko-ähnliche Klebstoffe. Adv. Mater. 21(4), 479–482 (2009).

Artikel CAS Google Scholar

Hirai, Y., Yoshida, S. & Takagi, N. Defektanalyse in der thermischen Nanoimprint-Lithographie. J. Vac. Wissenschaft. Technol. B 21(6), 2765–2770 (2003).

Artikel CAS Google Scholar

Kwak, R., Jeong, HE & Suh, KY Herstellung monolithischer Brückenstrukturen durch vakuumunterstützte Kapillarkraftlithographie. Small 5(7), 790–794 (2009).

Artikel CAS PubMed Google Scholar

Suh, KY & Lee, HH Kapillarkraftlithographie: Großflächige Strukturierung, Selbstorganisation und anisotrope Entnetzung. Adv. Funktion. Mater. 12(6–7), 405–413 (2002).

3.0.CO;2-1" data-track-action="article reference" href="https://doi.org/10.1002%2F1616-3028%2820020618%2912%3A6%2F7%3C405%3A%3AAID-ADFM405%3E3.0.CO%3B2-1" aria-label="Article reference 16" data-doi="10.1002/1616-3028(20020618)12:6/73.0.CO;2-1">Artikel CAS Google Scholar

Carlson, A., Bowen, AM, Huang, Y., Nuzzo, RG & Rogers, JA Transferdrucktechniken für die Materialmontage und die Herstellung von Mikro-/Nanogeräten. Adv. Mater. 24(39), 5284–5318 (2012).

Artikel CAS PubMed Google Scholar

Kim, JU, Lee, S. & Kim, T. Aktuelle Fortschritte in der unkonventionellen Lithographie für anspruchsvolle hierarchische 3D-Strukturen und ihre Anwendungen. J. Nanomater. 2016, 7602395 (2016).

Google Scholar

Meza, LR et al. Resiliente hierarchisch strukturierte 3D-Metamaterialien. Proz. Natl. Acad. Wissenschaft. PNAS. 112(37), 11502–11507 (2015).

Artikel ADS CAS PubMed Google Scholar

Piazza, A., Wu, Q. Galvanisierte, poröse 3D-Metallstrukturen unter Verwendung von Opfer-Zwei-Photonen-Lithographie-Vorlagen (Nanoscribe). Forschungsbericht, ENGR241, SNF, Stanford University (2020). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/wq_finalreport_qw_ap.pdf.

Houbertz, R., Declerck, P., Passinger, S., Ovsianikov, A. & Serbin. Untersuchungen zur Erzeugung photonischer Kristalle mittels Zwei-Photonen-Polymerisation (2PP) anorganisch-organischer Hybridpolymere mit ultrakurzen Laserpulsen. J. Phys. Status Solidi A 204, 3662–3675 (2007).

Artikel ADS CAS Google Scholar

Gruschina, A. Direktschreibende Graustufenlithographie. Adv. Opt. Technol. 8(3–4), 163–169 (2019).

Artikel ADS CAS Google Scholar

Chuang, RW, Kim, D., Park, J. & Lee, CC Ein flussmittelfreies Verfahren zur Herstellung zinnreicher Gold-Zinn-Verbindungen an der Luft. IEEE Trans. Compon. Paket. Technol. 27(1), 177–181 (2004).

Artikel CAS Google Scholar

Hazra, S., Singh, Y., Asheghi, M., Goodson, KE Charakterisierung und Verhinderung des Metallüberlaufs in ultradünnen eutektischen Au-Sn-Chipverbindungen für die Verpackung und Integration von Mikrokühlern mit extremem Wärmefluss. In Proceedings of the ASME 2020 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems, InterPACK 2020–2533 (2020).

Stilson. C., Pal, A., Coutu, RA Herstellung von 3D-Oberflächenstrukturen mittels Graustufenlithographie. In Proceedings of SPIE 8973: Micromachining and Microfabrication Process Technology XIX, Nr. 8973 (2014).

Deng, Q. et al. Herstellung mikrooptischer Elemente mit beliebigen Oberflächenprofilen basierend auf einstufiger maskenloser Graustufenlithographie. Mikromaschinen. 8, 314 (2017).

Artikel PubMed Central Google Scholar

Dillon, T., Sure, A., Murakowski, J. & Prather, D. Herstellung von Halbton-Graustufenmasken unter Verwendung von hochenergetischem strahlempfindlichem Glas. J. Mikrolithogr. Mikrofabr. Mikrosystem. 3(4), 550 (2004).

Google Scholar

Eckstein, H. et al. Hochdynamische Graustufenlithographie mit einem LED-basierten Mikrobild-Stepper. Proz. SPIE 9780, 97800T-T97801 (2016).

Artikel Google Scholar

Huang, Y. & Jeng, J. Bildung einer Fresnel-Zonenlinse: Auswirkungen von Fotolack auf die maskenlose Lithographie mit digitalen Mikrospiegelgeräten und Graustufenbelichtung. J. Opt. Soc. Korea 16(2), 127–132 (2012).

Artikel CAS Google Scholar

Ma, X. et al. Experimentelle Untersuchung der numerischen Optimierung für die 3D-Mikrostrukturierung mittels DMD-basierter Graustufenlithographie. J. Mikroelektromech. Syst. 24(6), 1856–1867 (2015).

Artikel Google Scholar

Bagolini, A., Scauso, P., Sanguinetti, S. & Bellutti, P. Silizium-Tiefenreaktives Ionenätzen mit Aluminium-Hartmaske. Mater. Res. Äußern. 6, 085913 (2019).

Artikel ADS CAS Google Scholar

Zhou, B. et al. Design und Herstellung magnetisch funktionalisierter flexibler Mikropillar-Arrays für schnelles und kontrollierbares mikrofluidisches Mischen. Lab Chip 15, 2125–2132 (2015).

Artikel CAS PubMed Google Scholar

Feng, J. et al. Identifizierung einzelner Nukleotide in MoS2-Nanoporen. Nat. Nanotechnologie. 10, 1070–1076 (2015).

Artikel ADS CAS PubMed Google Scholar

Wunsch, BH et al. Nanoskalige Lateral-Displacement-Arrays zur Trennung von Exosomen und Kolloiden bis zu 20 nm. Nat. Nanotechnologie. 11, 936–940 (2016).

Artikel ADS CAS PubMed Google Scholar

Huang, LR, Cox, EC, Austin, RH & Sturm, JC Kontinuierliche Partikeltrennung durch deterministische seitliche Verschiebung. Science 304(5673), 987–990 (2004).

Artikel ADS CAS PubMed Google Scholar

Lam, ET et al. Genomkartierung auf Nanokanal-Arrays zur Strukturvariationsanalyse und Sequenzassemblierung. Nat. Biotechnologie. 30(8), 771–776 (2012).

Artikel CAS PubMed Google Scholar

Dou, X., Zhang, D., Feng, C. & Jiang, L. Bioinspirierte hierarchische Oberflächenstrukturen mit einstellbarer Benetzbarkeit zur Regulierung der Bakterienadhäsion. ACS Nano 9(11), 10664–10672 (2015).

Artikel CAS PubMed Google Scholar

Chen, C. et al. Tropfenförmige Kondensation auf superhydrophoben Oberflächen mit zweistufiger Rauheit. Appl. Physik. Lette. 90, 173108 (2007).

Artikel ADS CAS Google Scholar

Sun, J. & Bhushan, B. Nanoherstellung bioinspirierter Oberflächen. Tribol. Int. 129, 67–74 (2019).

Artikel CAS Google Scholar

Weibel, JA & Garimella, SV Jüngste Fortschritte bei der Charakterisierung des Dampfkammertransports für Anwendungen mit hohem Wärmefluss. (2013).

Dai, X., Tran, L., Yang, F., Shi, B., Yang, R., Lee, YC und Li, C. Charakterisierung von hybriden Kupfer-Wärmerohren. In Proceedings of the ASME/JSME 2011 8th Thermal Engineering Joint Conference. ASME/JSME 2011 8. Gemeinsame Konferenz für Wärmetechnik. Honolulu, Hawaii, USA. 13.–17. März 2011. T30005. WIE ICH. https://doi.org/10.1115/AJTEC2011-44088.

Zhou, F., Liu, Y. & Dede, EM Design, Herstellung und Leistungsbewertung einer Hybriddocht-Dampfkammer. J. Wärmeübertragung. 141(8), 081802 (2019).

Artikel CAS Google Scholar

Pan, M. & Hu, M. Numerische Simulation vielfältiger Mikrokanal-Kühlkörper für das Wärmemanagement in einer Li-Ionen-Batterie. Chem. Ing. Technol. 43(12), 2501–2513 (2020).

Artikel CAS Google Scholar

Yao, Z., Lu, YW & Kandlikar, SG Verbesserung der Pool-Siedewärmeübertragung durch Nanostrukturen auf Silizium-Mikrokanälen. J. Nanotechnologie. Ing. Med. 3(3), 031002 (2013).

Artikel CAS Google Scholar

Waits, CM, Modafe, A. & Ghodssi, R. Untersuchung der Graustufentechnologie für großflächige 3D-Silizium-MEMS-Strukturen. J. Mikromech. Mikroeng. 13, 170–177 (2003).

Artikel ADS CAS Google Scholar

Waits, CM, Morgan, B., Kastantin, M. & Ghodssi, R. Mikrofabrikation von 3D-Silizium-MEMS-Strukturen mittels Graustufenlithographie und tiefem reaktivem Ionenätzen. Sens. Actuators A 119, 245–253 (2005).

Artikel CAS Google Scholar

Morgan, B., Waits, CM, Krizmanic, J. & Ghodssi, R. Entwicklung einer tiefen Siliziumphasen-Fresnellinse unter Verwendung von Graustufenlithographie und tiefem reaktivem Ionenätzen. J. Mikroelektromech. Syst. 13(1), 113–120 (2004).

Artikel CAS Google Scholar

Khazi, I., Muthiah, U. & Mescheder, U. 3D-freie Formen in c-Si mittels Graustufenlithographie und RIE. Mikroelektron. Ing. 193, 34–40 (2018).

Artikel CAS Google Scholar

Dixon, J., Solomon, M. Graustufenlithographie für chirale nanophotonische Strukturen. Forschungsbericht. ENGR241, SNF, Stanford University. (2018). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/finalreport2_jd_ms.pdf.

Zhou, F., Joshi, SN, Liu, Y. & Dede, EM Near-Junction-Kühlung für Leistungselektronik der nächsten Generation. Int. Komm. Wärme-Massentransf. 108 (2019), 104300 (2019).

Artikel Google Scholar

Kermani, E., Dessiatoun, S., Shooshtari, A., Ohadi, MM Experimentelle Untersuchung der Wärmeübertragungsleistung eines Mikrokanal-Kühlkörpers mit Verteiler zur Kühlung konzentrierter Solarzellen. In Electronic Components and Technology Conference, San Diego, USA, 453–459 (2009).

Jung, KW et al. Eingebettete Kühlung mit 3D-Verteiler für Leistungselektronikanwendungen in Fahrzeugen: Einphasige Thermoflüssigkeitsleistung. Int. J. Wärme-Massentransf. 130, 1108–1119 (2019).

Artikel CAS Google Scholar

Bae, DG, Mandel, RK, Dessiatoun, SV, Rajgopal, S., Roberts, SP, Mehregany, M., Ohadi, MM Eingebettete zweiphasige Kühlung von Elektronik mit hohem Wärmefluss auf Siliziumkarbid (SiC) mittels Dünnschichtverdampfung und ein Enhanced Delivery System (FEEDS)-Verteiler-Mikrokanal-Kühler. In IEEE ITHERM, 29. Mai–1. Juni 2017, 466–472 (2017).

Hazra, S., Piazza, A., Jung, KW, Asheghi, M., Gupta, MP, Jih, E., Degner, M., Goodson, KE Mikrofabrikationsherausforderungen für siliziumbasierte großflächige (≥ 500 mm2) 3D -verteilte eingebettete Mikrokühler zur Ableitung eines hohen Wärmeflusses. Auf der 19. IEEE ITHERM-Konferenz (2020).

Yadavali, S., Lee, D. & Issadore, D. Robuste Mikrofabrikation hochparallelisierter dreidimensionaler Mikrofluidik auf Silizium. Wissenschaft. Rep. 9, 12213 (2019).

Artikel ADS PubMed PubMed Central CAS Google Scholar

Zhu, Y. et al. Die Oberflächenstruktur verstärkt das Sieden der Mikrokanalströmung. J. Wärmeübertragung. 138(9), 091501 (2016).

Artikel CAS Google Scholar

Wu, J., Yadavali, S., Lee, D. & Issadore, DA Skalierung des Durchsatzes der mikrofluidischen, tröpfchenbasierten Materialsynthese: Ein Überblick über die jüngsten Fortschritte und Aussichten. Appl. Physik. Rev. 8, 031304. https://doi.org/10.1063/5.0049897 (2021).

Artikel ADS CAS PubMed Google Scholar

Scott, SM & Ali, Z. Herstellungsmethoden für mikrofluidische Geräte: Ein Überblick. Micromachines 12, 319. https://doi.org/10.3390/mi12030319 (2021).

Artikel PubMed PubMed Central Google Scholar

Duong, LH & Chen, PC Einfache und kostengünstige Herstellung hybrider 3D-gedruckter Mikrofluidikgeräte. Biomicrofluidics 13(2), 024108. https://doi.org/10.1063/1.5092529.PMID:31065307;PMCID:PMC6478590 (2019).

Artikel PubMed PubMed Central Google Scholar

Parks, JW et al. Hybride optofluidische Integration. Lab Chip 13(20), 4118–4123. https://doi.org/10.1039/c3lc50818h (2013).

Artikel CAS PubMed Google Scholar

Shin, SW, Yuk, JS & Chun, SH Hybridmaterial aus struktureller DNA mit anorganischer Verbindung: Synthese, Anwendungen und Perspektive. Nanokonverg. 7, 2. https://doi.org/10.1186/s40580-019-0211-4 (2020).

Artikel CAS PubMed PubMed Central Google Scholar

Su, R. et al. 3D-gedruckte selbsttragende Elastomerstrukturen für multifunktionale Mikrofluidik. Wissenschaft. Adv. 6, eabc9846. https://doi.org/10.1126/sciadv.abc9846 (2020).

Artikel ADS CAS PubMed PubMed Central Google Scholar

Kang, Y., Wu, T., Han, X., Gu, H. & Zhang, Schichtchromatographie. Mikrochicm. Acta 185, 504 (2018).

Artikel CAS Google Scholar

Long, J. et al. Kostengünstige Herstellung großflächiger, brokkoliartiger, multiskaliger Mikro- und Nanostrukturen für metallische, superhydrophobe Oberflächen mit extrem geringer Wasseradhäsion und hervorragender Frostschutzfähigkeit. Adv. Mater. Schnittstellen 5, 1800353 (2018).

Artikel CAS Google Scholar

Hazra, S., Liu, T., Asheghi, M., Goodson, K. Gelaserte Rauheit zur Erhöhung der Dochtwirkungsraten in der Pin-Fin-Mikrostruktur. In ASME 2020 International Electronic Packaging Technical Conference and Exhibition on Packaging and Integration of Electronic (2020).

Bigham, S., Fazeli, A. & Moghaddam, S. Physik von Mikrostrukturen, Verbesserung der Dünnschichtverdampfungswärmeübertragung in Mikrokanälen, Strömungssieden. Wissenschaft. Rep. 7, 44745 (2017).

Artikel ADS PubMed PubMed Central Google Scholar

Referenzen herunterladen

Diese Forschung wurde durch Mittel der Advanced Research Projects Agency-Energy (ARPA-E) OPEN 2018-Fördermöglichkeit (Fördernummer DE-AR0001055) des Energieministeriums unterstützt. Mehrere Teile der Arbeit wurden in SNF (Stanford Nanofabrication Facility) und SNSF (Stanford Nano-Shared Facility) durchgeführt. Diese Arbeit wurde teilweise von der Alliance for Sustainable Energy, LLC verfasst, dem Manager und Betreiber des National Renewable Energy Laboratory für das US-Energieministerium (DOE) unter der Vertragsnummer DE-AC36-08GO28308. Die in dem Artikel geäußerten Ansichten spiegeln nicht unbedingt die Ansichten des DOE oder der US-Regierung wider. Die US-Regierung behält sich vor, und der Herausgeber erkennt mit der Annahme des Artikels zur Veröffentlichung an, dass die US-Regierung eine nicht ausschließliche, bezahlte, unwiderrufliche, weltweite Lizenz behält, die veröffentlichte Form dieses Werks zu veröffentlichen oder zu reproduzieren oder anderen dies zu gestatten. für Zwecke der US-Regierung.

Fakultät für Maschinenbau, Stanford University, Stanford, USA

Sougata Hazra, Chi Zhang, Qianying Wu, Mehdi Asheghi und Kenneth Goodson

Abteilung für Elektronikforschung, Toyota Research Institute of North America, Ann Arbor, MI, USA

Ercan M. Dede

Fakultät für Maschinenbau, University of California-Merced, Merced, CA, USA

James Palko

National Renewable Energy Laboratory, Golden, CO, USA

Sreekant Narumanchi

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

SH war dafür verantwortlich, die Lücke im aktuellen Wissen zu identifizieren, den Prozessablauf zu konzipieren, die experimentellen Arbeiten durchzuführen und die Ergebnisse zu validieren. Darüber hinaus bereitete er das Manuskript und die Abbildungen vor. CZ lieferte einen Teil der Charakterisierungsdaten. QW, MA, KG, ED, JP und SN gaben während der Arbeit Anleitung und gaben Feedback bei der Erstellung des Manuskripts.

Korrespondenz mit Sougata Hazra.

Die Autoren geben an, dass keine Interessenkonflikte bestehen.

Springer Nature bleibt neutral hinsichtlich der Zuständigkeitsansprüche in veröffentlichten Karten und institutionellen Zugehörigkeiten.

Open Access Dieser Artikel ist unter einer Creative Commons Attribution 4.0 International License lizenziert, die die Nutzung, Weitergabe, Anpassung, Verbreitung und Reproduktion in jedem Medium oder Format erlaubt, sofern Sie den/die ursprünglichen Autor(en) und die Quelle angemessen angeben. Geben Sie einen Link zur Creative Commons-Lizenz an und geben Sie an, ob Änderungen vorgenommen wurden. Die Bilder oder anderes Material Dritter in diesem Artikel sind in der Creative-Commons-Lizenz des Artikels enthalten, sofern in der Quellenangabe für das Material nichts anderes angegeben ist. Wenn Material nicht in der Creative-Commons-Lizenz des Artikels enthalten ist und Ihre beabsichtigte Nutzung nicht gesetzlich zulässig ist oder über die zulässige Nutzung hinausgeht, müssen Sie die Genehmigung direkt vom Urheberrechtsinhaber einholen. Um eine Kopie dieser Lizenz anzuzeigen, besuchen Sie http://creativecommons.org/licenses/by/4.0/.

Nachdrucke und Genehmigungen

Hazra, S., Zhang, C., Wu, Q. et al. Eine neuartige Methode zur Musterübertragung von der Hartmaske auf das Substrat zur Erstellung mehrstufiger, hierarchischer 3D-Strukturen mit hohem Seitenverhältnis für Anwendungen in der Mikrofluidik und Kühltechnologie. Sci Rep 12, 12180 (2022). https://doi.org/10.1038/s41598-022-16281-5

Zitat herunterladen

Eingegangen: 17. März 2022

Angenommen: 07. Juli 2022

Veröffentlicht: 16. Juli 2022

DOI: https://doi.org/10.1038/s41598-022-16281-5

Jeder, mit dem Sie den folgenden Link teilen, kann diesen Inhalt lesen:

Leider ist für diesen Artikel derzeit kein Link zum Teilen verfügbar.

Bereitgestellt von der Content-Sharing-Initiative Springer Nature SharedIt

Durch das Absenden eines Kommentars erklären Sie sich damit einverstanden, unsere Nutzungsbedingungen und Community-Richtlinien einzuhalten. Wenn Sie etwas als missbräuchlich empfinden oder etwas nicht unseren Bedingungen oder Richtlinien entspricht, kennzeichnen Sie es bitte als unangemessen.